Welcome![Sign In][Sign Up]
Location:
Search - bcd vhdl

Search list

[SCMVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 43008 | Author: kerty | Hits:

[MPIadd_16_bcd

Description: 此程序采用VHDL语言,完成在16位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的16位二进制加法器-This procedure using VHDL language, completed in 16-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 16 binary adder
Platform: | Size: 1024 | Author: 韩善华 | Hits:

[VHDL-FPGA-Verilogadd_32_bcd

Description: 此程序采用VHDL语言,完成在32位十六进制加法器的基础上将输出进行BCD码转换,实现输出是BCD码的32位二进制加法器-This procedure using VHDL language, completed in 32-bit hexadecimal adder based on output BCD code conversion, the realization of output is BCD code of 32 binary adder
Platform: | Size: 1024 | Author: 韩善华 | Hits:

[Otherbcd

Description: 实现bcd码与二进制码之间的相互转换功能,小于9时不变,高于九时加6功能-The realization of bcd code and binary code conversion function between, less than 9 am the same, higher than the 6 function plus 9:00
Platform: | Size: 7168 | Author: 汤仙君 | Hits:

[OtherTrafficlight

Description: 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code countdown counter (count pulse 1HZ), used to record the duration of each state because the duration of each state are inconsistent, so these counters should be placed in several different presets countdown of numerical output to two digital display procedures were set up four processes: ① process P1, P2 and P3 form two functions with a preset number of decimal counters, of which P1 and P3, respectively, for months, and 10-bit counters, P2 to generate a 10-bit The binary signal ② P4 is the status register, control the state of the conversion, and six output control signals of traffic lights.
Platform: | Size: 1024 | Author: kid | Hits:

[Otherbinarytobcd

Description: 实现二进制到BCD的转换,相关算法可参考相关文档资料-convert binary number to BCD
Platform: | Size: 3072 | Author: CoCo | Hits:

[SCMbinarytobcd_arithmetic

Description: Binary to BCD arithmetic. 这东西真不错-Binary to BCD arithmetic. This is something really good
Platform: | Size: 3072 | Author: Reguse | Hits:

[VHDL-FPGA-VerilogBin16_BCD5

Description: it is a binary16 to BCD converter .it will work on spartan 3 xilini devices.
Platform: | Size: 1024 | Author: ali | Hits:

[VHDL-FPGA-Verilogbin2bcd

Description: Binary to BCD converter
Platform: | Size: 1024 | Author: Natacho | Hits:

[Windows Developbcd

Description: vhdl编写的将二进制转BCD码的程序.直接源代码,适合新手编程,语法学习-BCD
Platform: | Size: 202752 | Author: yjh | Hits:

[VHDL-FPGA-VerilogMultBCD

Description: Multiplier BCD - vhdl-Multiplier BCD- vhdl
Platform: | Size: 303104 | Author: svxiuh | Hits:

[VHDL-FPGA-Verilogbcd

Description: EDA 十进制计数器、BCD VHDL源代码-EDA decimal counter VHDL source code
Platform: | Size: 1024 | Author: 啊毛 | Hits:

[VHDL-FPGA-VerilogBCD

Description: vhdl写的十进制转BCD的源代码-vhdl decimal to BCD written the source code~~~~~~~~~~~~~~~~~
Platform: | Size: 123904 | Author: zll | Hits:

[VHDL-FPGA-VerilogBIN_BCD

Description: 用硬件描述语音实现二进制数据转换成BCD数据-Using hardware description voice to achieve the binary data into BCD data
Platform: | Size: 620544 | Author: sleeeeeeep | Hits:

[VHDL-FPGA-VerilogHEX2BCD

Description: 基于fpga的二进制和BCD骂转换模块vhdl描述,只需修改相关参数即可使用-Fpga-based binary and BCD conversion module called vhdl description, simply modify the relevant parameters to use
Platform: | Size: 1024 | Author: 郭帅 | Hits:

[VHDL-FPGA-VerilogBinary_to_BCD_Converter

Description: General Binary-to-BCD Converter The linked code is a general binary-to-BCD Verilog module, and I have personally tested the code.
Platform: | Size: 25600 | Author: volkan | Hits:

[VHDL-FPGA-VerilogBCD

Description: 基于VHDL语言,实现二进制转换为BCD码。-Based on the VHDL language, to achieve a binary code is converted to BCD.
Platform: | Size: 3072 | Author: xiaokun | Hits:

[VHDL-FPGA-VerilogBCD

Description: BCD码和二进制之间的转化,FPGA中的实现,内附原理及代码!-BCD conversion between binary code and, FPGA Realization of, containing principles and code!
Platform: | Size: 165888 | Author: rbj | Hits:

[VHDL-FPGA-Verilog2-Decimal-BCD-Decoder

Description: 二-十进制BCD译码器,就是用VDHL编写的将二进制转化为十进制的BCD译码器-2- Decimal BCD Decoder, is to use VDHL written into the binary decimal BCD decoder
Platform: | Size: 1024 | Author: 易云箫 | Hits:

[VHDL-FPGA-VerilogBCD counter( state machine)

Description: a vhdl source code for BCD
Platform: | Size: 1050624 | Author: maleki | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net